如何在xilinx中从原理图生成vhdl代码

如何在xilinx中从原理图生成vhdl代码,vhdl,fpga,xilinx,mips32,Vhdl,Fpga,Xilinx,Mips32,我想知道是否有可能从xilinx中的原理图生成vhdl代码。我知道反过来是可行的。我希望这样做,因为我很好奇,在我完成了mips R2000的数据路径之后,代码会是什么样子,而且这是一种通过更改代码中的关键行来修改大型示意图的简单方法。我使用了原理图和vhdl,但我希望看到整个数据路径都用vhdl编写。我使用xilinx12.3。谢谢 该选项位于“设计”菜单->在“实现”窗口中选择一个.sch文件,然后单击“查看HDL功能模型”。这将为所选原理图生成vhdl代码:o您可以将原理图设计转换为HDL

我想知道是否有可能从xilinx中的原理图生成vhdl代码。我知道反过来是可行的。我希望这样做,因为我很好奇,在我完成了mips R2000的数据路径之后,代码会是什么样子,而且这是一种通过更改代码中的关键行来修改大型示意图的简单方法。我使用了原理图和vhdl,但我希望看到整个数据路径都用vhdl编写。我使用xilinx12.3。谢谢

该选项位于“设计”菜单->在“实现”窗口中选择一个.sch文件,然后单击“查看HDL功能模型”。这将为所选原理图生成vhdl代码:o

您可以将原理图设计转换为HDL模型。在设计中的实施方案下,实用程序点击查看HDL功能模型,该模型根据原理图创建HDL文件,VHDL为.vhf,Verilog为.vf。将该文件更改为.vhd,VHDL为.vhd,Verilog为.v。之后,如果使用vivado 2018.2,您可以轻松地将该设计添加到vivado项目中,您可以在Tcl控制台中使用writeu vhdl,后跟要写入vhdl的文件名

比如说,,
写下vhdl驱动器号:\File\u Location\Schematic\u to\u vhdl.vhd

我不知道你的问题的答案-但以我的经验,从原理图到HDL的转换通常非常混乱。。。作为警告。出于您的目的(只是修改一些关键部件),它可能工作正常。但是在生成的代码中找到那些“关键部分”可能很困难。你看过活动HDL吗?我没有亲自使用过,另一位工程师在工作中提到过@大卫点不,我还没试过。我认为我的要求是不可能的,至少从我的发现来看是不可能的。Aldec似乎有很好的编辑器vhdl与原理图相结合。嗯,这是否意味着u可以从代码转换为原理图,反之亦然?@GeoPapas我不知道,我只听说过该产品。如果您决定联系Aldec,请告诉我们您发现了什么。ActiveHDL允许创建框图,它可以自动转换为HDL代码。方框图允许您插入符号、状态机(使用状态机编辑器绘制)等,并将它们连接在一起。我不确定你所说的“示意图”是什么意思,但这可能接近你所要寻找的。