System verilog UVM中的参数化类声明错误

System verilog UVM中的参数化类声明错误,system-verilog,modelsim,uvm,questasim,synopsys-vcs,System Verilog,Modelsim,Uvm,Questasim,Synopsys Vcs,我试图使用从uvm_对象扩展的参数化类 class som_util #(int entry_w=2) extends uvm_object; `uvm_object_utils(som_util) "Some other static functions using the parameterized variables" endclass 当我使用这个实用程序函数在IUS上运行测试时,它会编译,整个测试也会通过。但在VCS上,它抱怨标识符“entry_w”未定义 可能的原因是什么?必须

我试图使用从uvm_对象扩展的参数化类

class som_util #(int entry_w=2) extends uvm_object;
 `uvm_object_utils(som_util)
 "Some other static functions using the parameterized variables"
endclass
当我使用这个实用程序函数在IUS上运行测试时,它会编译,整个测试也会通过。但在VCS上,它抱怨标识符“entry_w”未定义


可能的原因是什么?

必须使用
UVM\u object\u param\u utils
宏注册UVM中的参数化类,如下所示:

 `uvm_object_param_utils(som_util#(entry_w))
有关更多背景信息,请参阅本主题的