SystemVerilog中的循环积分算子

SystemVerilog中的循环积分算子,verilog,system-verilog,Verilog,System Verilog,我目前正在从事一个关于使用SystemVerilog-实数建模(RNM)进行混合信号IC设计的项目。 我必须将表达式参数转换为它的集成形式。 我知道Verilog-a中有一个循环积分运算符,idtmod(),但直到现在,我还没有在SystemVerilog中找到任何相等的运算符 如果有人知道这件事,我将不胜感激。你不能在SystemVerilog中这样做。Verilog-A在连续时间域中工作,知道如何计算一段时间内的积分表达式。SystemVerilog处理离散时间事件。它只能在特定时间对信号进

我目前正在从事一个关于使用SystemVerilog-实数建模(RNM)进行混合信号IC设计的项目。 我必须将表达式参数转换为它的集成形式。 我知道Verilog-a中有一个循环积分运算符,
idtmod()
,但直到现在,我还没有在SystemVerilog中找到任何相等的运算符


如果有人知道这件事,我将不胜感激。

你不能在SystemVerilog中这样做。Verilog-A在连续时间域中工作,知道如何计算一段时间内的积分表达式。SystemVerilog处理离散时间事件。它只能在特定时间对信号进行采样。因此,根据要积分的表达式,需要在采样周期内近似积分

据我所知,这并不存在,所以您可能需要编写自己的函数。如果你能提供更多关于你正在努力完成的事情的细节,我们会提供更多的帮助。