如何编写VHDL测试平台

如何编写VHDL测试平台,vhdl,xilinx,vivado,Vhdl,Xilinx,Vivado,我写了这个VHDL代码,我想测试它! 你们能给我解释一下如何编写一个测试台吗(或者若你们知道网络上的一些指南,你们能把它链接到我这里吗?我已经搜索过了,但并没有找到任何具体的东西) 上面有两页东西。编写测试台通常至少与编写设计本身一样费力。1000英里的旅程从一步开始…你是ripplecarry4bitA,b和s端口都有一个太多的元素(0到4是4位加法器中的五个“位”)。“如何编写VHDL测试台”是一个相当普遍的问题。。。有趣的是,Vivado仿真教程没有包含任何VHDL测试台示例。您可以从IS

我写了这个VHDL代码,我想测试它!
你们能给我解释一下如何编写一个测试台吗(或者若你们知道网络上的一些指南,你们能把它链接到我这里吗?我已经搜索过了,但并没有找到任何具体的东西)

上面有两页东西。编写测试台通常至少与编写设计本身一样费力。1000英里的旅程从一步开始…你是ripplecarry4bit
A
b
s
端口都有一个太多的元素(
0到4
是4位加法器中的五个“位”)。“如何编写VHDL测试台”是一个相当普遍的问题。。。有趣的是,Vivado仿真教程没有包含任何VHDL测试台示例。您可以从ISE中查看。下面是ripplecarry4位加法器的示例,使用参考算法实现代替XAPP199中的结果向量。注意:实体中
a
b
s
的左右顺序已更改为MSB left,以避免在波形显示中交换顺序。IEEE二进制数学包在左侧也使用了MSB约定。还有一个额外的全加器4位单位全加器。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity ripplecarry4bit is
    port( a,b: in std_logic_vector (0 to 4);
          cin: in std_logic;
          s: out std_logic_vector (0 to 4);
          cout: out std_logic);
end ripplecarry4bit;

architecture RC4 of ripplecarry4bit is
    signal c: std_logic_vector (0 to 2);
    component fulladder4bit is
        port( a,b,cin: in std_logic;
              s,cout: out std_logic);
    end component;
    begin
        fa0: fulladder4bit port map(a(0), b(0), cin, s(0), c(0));
        fa1: fulladder4bit port map(a(1), b(1), c(0), s(1), c(1));
        fa2: fulladder4bit port map(a(2), b(2), c(1), s(2), c(2));
        fa3: fulladder4bit port map(a(3), b(3), c(2), s(3), cout);
end RC4;