String 在VHDL报告中使用通用字符串

String 在VHDL报告中使用通用字符串,string,generics,report,vhdl,String,Generics,Report,Vhdl,当我在VHDL中使用report语句时,我希望字符串输出依赖于我可以在实例化级别声明的泛型。我可以,怎么做? 让我们举一个疯狂的例子,在我放置的实体中: generic ( blah : string :="happy"); 然后,我在架构中介绍了: report "my mood is: " <blah> severity warning; 报告“我的心情是:”严重警告; 我知道这不起作用,所以如何将泛型作为报告输出的一部分?您需要使用&连接字符串: report "my

当我在VHDL中使用report语句时,我希望字符串输出依赖于我可以在实例化级别声明的泛型。我可以,怎么做? 让我们举一个疯狂的例子,在我放置的实体中:

generic ( blah : string :="happy");

然后,我在架构中介绍了:

report "my mood is: " <blah> severity warning;
报告“我的心情是:”严重警告;

我知道这不起作用,所以如何将泛型作为报告输出的一部分?

您需要使用
&
连接字符串:

report "my mood is " & blah severity warning;
您是否尝试过报告(“我的情绪是”&blah)?