VHDL检查字符串是否为空
真不敢相信我在问一个新的问题 我有一个VHDL实体,如下所示:VHDL检查字符串是否为空,vhdl,Vhdl,真不敢相信我在问一个新的问题 我有一个VHDL实体,如下所示: entity dpram is generic( DWIDTH : integer; AWIDTH : integer; INIT_FILE : string ); port ( clk : in std_logic; we : in std_logic; a : in st
entity dpram is
generic(
DWIDTH : integer;
AWIDTH : integer;
INIT_FILE : string
);
port (
clk : in std_logic;
we : in std_logic;
a : in std_logic_vector(AWIDTH - 1 downto 0);
d_i : in std_logic_vector(DWIDTH - 1 downto 0);
d_o : out std_logic_vector(DWIDTH - 1 downto 0);
dpra : in std_logic_vector(AWIDTH - 1 downto 0);
dpo : out std_logic_vector(DWIDTH - 1 downto 0)
);
end dpram;
在架构中,我想检查INIT_文件是否为空。我该怎么做?您可以使用“长度”属性: 如果INIT_FILE'length=0,则 或者将其与空字符串进行比较: 如果INIT_FILE= 注意-当前泛型没有默认值,因此实例化此模块的用户必须明确地将其连接到空字符串,这可能会让人觉得很奇怪。对于空默认值:
初始化文件:字符串:=您可以使用“长度”属性: 如果INIT_FILE'length=0,则 或者将其与空字符串进行比较: 如果INIT_FILE= 注意-当前泛型没有默认值,因此实例化此模块的用户必须明确地将其连接到空字符串,这可能会让人觉得很奇怪。对于空默认值: 初始化文件:字符串=