veriloghdl中的HTTP请求

veriloghdl中的HTTP请求,verilog,hdl,intel-fpga,Verilog,Hdl,Intel Fpga,我想使用Altera D2-115通过Verilog HDL发送HTTP请求 我正在使用烟雾探测器和红外断路器电路实现一个安全系统,如果发生警报,系统应发送HTTP请求以发送电子邮件。您希望使用FPGA来执行更适合SOC的任务 也就是说,您可以将FPGA转换为SOC:在Altera设备内实例化NIOS-II处理器,将其连接到相关设备,如RAM、闪存ROM、用于永久存储的SD插槽,当然还有以太网芯片,并为NIOS-II处理器编写程序,通过以太网芯片发送HTTP请求。这意味着您必须在程序中包含一个T

我想使用Altera D2-115通过Verilog HDL发送HTTP请求
我正在使用烟雾探测器和红外断路器电路实现一个安全系统,如果发生警报,系统应发送HTTP请求以发送电子邮件。

您希望使用FPGA来执行更适合SOC的任务

也就是说,您可以将FPGA转换为SOC:在Altera设备内实例化NIOS-II处理器,将其连接到相关设备,如RAM、闪存ROM、用于永久存储的SD插槽,当然还有以太网芯片,并为NIOS-II处理器编写程序,通过以太网芯片发送HTTP请求。这意味着您必须在程序中包含一个TCP/IP堆栈,以便向Internet实际发送任何信息、接收任何响应并管理握手。它不一定是一个完整的堆栈,只有TCP、IP,可能还有ICMP和ARP。UDP是不需要的

如果使用DE2-115板是个人的选择,并且不是强制的,那么您可能需要寻找一个具有现成TCP/IP堆栈和以太网支持的微控制器。一个简单的Raspberry Pi非常适合(而且便宜得多),即使您实际上并不需要一个完整的Linux系统

尝试仅使用Verilog完成所有工作意味着:

  • 可能的话,为控制以太网芯片的FSM编写一个设计 将SPI用于配置选项等
  • 该FSM与提供TCP/IP堆栈的大型FSM通信。 这确实是可行的,但它本身就是一个巨大的项目
  • 该FSM又必须与另一个实现HTTP协议的FSM通信,以将HTTP请求发送到远程主机
  • FSM将由一个简单的FSM触发,该FSM负责轮询红外传感器和烟雾探测器。这部分是我认为在Verilog中作为数字系统唯一可行的部分

这不是verilog问题,伙计。您所需要的只是带有以太网的系统,然后发送HTTP请求。请描述更多信息。使用ardiuno或其他在低电平信号和高电平协议之间接口的系统将大大简化这一过程,否则您将不得不在verilog中实现大量功能。我目前正在使用Altera Board DE2-115,我是这方面的初学者,这是我所在大学的一个项目所需要的,因此,如果您能告诉我如何准确地使用以太网在ALTERA中发送HTTP请求,那就太好了:)