Verilog I';我正试着用EDA语言编写测试台代码

Verilog I';我正试着用EDA语言编写测试台代码,verilog,system-verilog,edaplayground,Verilog,System Verilog,Edaplayground,我的代码中有一些错误,但我找不到我的代码有任何错误。埃达说 “执行中断或达到最大运行时间。” 这是我的密码 永远#5clk=~clk您的测试台包括以下几行: forever #5 clk = ~clk; 这段代码将永远执行下去。(线索在语法中。)因此,您的模拟永远不会停止。EDA游乐场的最大运行时间为1分钟,因此在此之后将终止模拟。这就是您的错误消息 完成后,需要停止执行此代码。你需要这样的东西: reg clk, clear, go; ... initial begin

我的代码中有一些错误,但我找不到我的代码有任何错误。埃达说

“执行中断或达到最大运行时间。”

这是我的密码


永远#5clk=~clk

您的测试台包括以下几行:

forever
#5 clk = ~clk;
这段代码将永远执行下去。(线索在语法中。)因此,您的模拟永远不会停止。EDA游乐场的最大运行时间为1分钟,因此在此之后将终止模拟。这就是您的错误消息

完成后,需要停止执行此代码。你需要这样的东西:

  reg clk, clear, go;

  ...

  initial 
  begin  
    go = 1'b1;
    ...
    while (go)
    #5 clk = ~clk;
  end

  initial begin
    $dumpfile("systolic_array1.vcd");
    $dumpvars(1,systolic_array);
    #10
    ...
    go = 1'b0;
  end

另一种方法是使用
$finish
<代码>首字母#10000美元完成